L. Benini and G. De-micheli, Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, pp.70-78, 2002.

W. Dally and B. Towles, Route packets, not wires: on-chip interconnection networks, Design Automation Conference, pp.684-689, 2001.

P. Pande, C. Grecu, A. Ivanov, and R. Saleh, Design of a switch for network on chip applications, ISCAS '03. Proceedings of the 2003 International Symposium on, vol.5, pp.25-28, 2003.

S. Murali and G. De-micheli, SUNMAP: a tool for automatic topology selection and generation for NoCs, Design Automation Conference, pp.914-919, 2004.

C. Bobda, A. Ahmadinia, M. Majer, J. Teich, S. Fekete et al., Dynoc: A dynamic infrastructure for communication in dynamically reconfugurable devices, Field Programmable Logic and Applications, 2005. International Conference on, pp.24-26, 2005.

S. Jovanovic, C. Tanougast, S. Weber, and C. Bobda, CuNoC: A scalable dynamic noc for dynamically reconfigurable fpgas, Field Programmable Logic and Applications, pp.27-29, 2007.
URL : https://hal.archives-ouvertes.fr/hal-02065680

C. Glass and L. Ni, Computer Architecture, 1992. Proceedings, The 19th Annual International Symposium on, pp.278-287, 1992.

G. Chiu, The odd-even turn model for adaptive routing, IEEE Transactions on, vol.11, issue.7, pp.729-738, 2000.

Y. Boura and C. Das, Efficient fully adaptive wormhole routing in n-dimensional meshes, Proceedings of the 14th International Conference on, pp.21-24, 1994.

A. Chien and J. H. Kim, Planar-adaptive routing: Low-cost adaptive networks for multiprocessors, The 19th Annual International Symposium on, pp.268-277, 1992.

N. Glass, Fault-tolerant wormhole routing in meshes, FTCS: Fault-Tolerant Computing: 23th Annual International Symposium, 1993.

, Available: citeseer

J. Wu, A fault-tolerant and deadlock-free routing protocol in 2d meshes based on odd-even turn model, IEEE Transactions on, vol.52, issue.9, pp.1154-1169, 2003.

R. Boppana and S. Chalasani, Fault-tolerant wormhole routing algorithms for mesh networks, IEEE Transactions on, vol.44, issue.7, pp.848-864, 1995.

K. Chen and G. Chiu, Fault-tolerant routing algorithm for meshes without using virtual channels, J. Inf. Sci. Eng, vol.14, issue.4, pp.765-783, 1998.

D. Park, C. Nicopoulos, J. Kim, N. Vijaykrishnan, and C. Das, Exploring fault-tolerant network-on-chip architectures, DSN 2006. International Conference on, pp.93-104, 2006.

C. Su and K. Shin, Adaptive fault-tolerant deadlock-free routing in meshes and hypercubes, IEEE Transactions on, vol.45, issue.6, pp.666-683, 1996.

T. Schonwald, J. Zimmermann, O. Bringmann, and W. Rosenstiel, Fully adaptive fault-tolerant routing algorithm for network-on-chip architectures, Digital System Design Architectures, Methods and Tools, p.10, 2007.

E. Nilsson, M. Millberg, J. Oberg, and A. Jantsch, Load distribution with the proximity congestion awareness in a network on chip, Design, Automation and Test in Europe Conference and Exhibition, pp.1126-1127, 2003.

T. T. Ye, L. Benini, and G. D. Micheli, Packetization and routing analysis of on-chip multiprocessor networks, J. Syst. Archit, vol.50, issue.2-3, pp.81-104, 2004.

W. Dally and C. Seitz, Deadlock-free message routing in multiprocessor interconnection networks, IEEE Transactions on computers, vol.100, issue.36, pp.547-553, 1987.

K. Chen and G. Chiu, Fault-tolerant routing algorithm for meshes without using virtual channels, Journal of Information Science and Engineering, vol.14, issue.4, pp.765-783, 1998.