A. Bibliographieabe04 and . Abedenour, Outil d'analyse et de partitionnement/ordonnancement Pour les système temps réel embarqués, Thèse de doctorat, UFR Sciences et sciences de l'engenieur, 2004.

A. Arthur, Low-Power Domain Specific Processors for Digital Signal Processing, Thèse de doctorat, 2001.

C. [. Skodras, T. Christopoulos, and . Ebrahimi, JPEG2000: The upcoming still image compression standard, Pattern Recognition Letters, vol.22, issue.12, pp.36-58, 2001.
DOI : 10.1016/S0167-8655(01)00079-4

[. A. D00-]-a and . Garcia, Etude sur l'estimation et l'optimisation de la consommation de puissance des circuits logiques programmable du type fpga, 2000.

]. M. Ada01 and . Adams, The jpeg2000 still image compression standard. Rapport technique, ISO, 2001.

I. [. Calderbank, W. Daubechies, B. L. Sweldens, and . Yeo, Wavelet tranforms that map integers to integers, Applied and Computation, vol.5, issue.3, pp.332-369, 1998.
DOI : 10.1006/acha.1997.0238

URL : https://doi.org/10.1006/acha.1997.0238

A. Carsten, K. Roman, and M. Erik, Dynacore : A dynamically reconfigurable coprocessor architecture for network processors, PDP '06 : Proceedings of the 14th Euromicro International Conference on Parallel , Distributed, and Network-Based Processing (PDP'06), pp.101-108, 2006.

[. A. M95-]-a and . Morse, Control using logic-based switching, Trands in Control, 1995.

F. [. Anderson, NAJM : Power estimation techniques for fpgas. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.12, issue.10, pp.1015-1027, 2004.

A. François, Une technique de réduction de la puissance dissipée par l'horlogerie des circuit complexes rapides. 4ème journée francophones d'étude Faible Tension Faible Consommation, 2003.

A. Armando, Z. Aitzol, B. Unai, J. Luis, M. Jesús et al., Tornado : A self-reconfiguration control system for core-based multiprocessor csopcs, J. Syst. Archit, vol.53, issue.9, pp.629-643, 2007.

R. [. Burd and . Brodersen, Energy efficient CMOS microprocessor design, Proceedings of the Twenty-Eighth Annual Hawaii International Conference on System Sciences, pp.288-297, 1995.
DOI : 10.1109/HICSS.1995.375385

URL : http://csdl.computer.org/comp/proceedings/hicss/1995/6930/00/69300288.pdf

B. Sudarshan, B. Elaheh, D. Nikil, and . Dutt, Physically-aware hw-sw partitioning for reconfigurable architectures with partial dynamic reconfiguration, pp.335-340, 2005.

E. [. Banerjee, N. D. Bozorgzadeh, and . Dutt, Integrating physical constraints in hw-sw partitioning for architectures with partial dynamic reconfiguration. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.14, issue.11, pp.1189-1202, 2006.

E. [. Banerjee, N. Bozorgzadeh, and . Dutt, Exploiting application dataparallelism on dynamically reconfigurable architectures : Placement and architectural considerations. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.17, issue.2, pp.234-247, 2009.

R. A. Bergamaschi, B. Subhrajit, W. Ronoldo, F. Colleen, M. Michael et al., Automating the design of SOCs using cores, IEEE Design & Test of Computers, vol.18, issue.5, pp.32-45, 2001.
DOI : 10.1109/54.953270

M. Baleani, F. Gennari, Y. Jiang, Y. Patel, R. Brayton et al., HW/SW partitioning and code generation of embedded control applications on a reconfigurable architecture platform, Proceedings of the tenth international symposium on Hardware/software codesign , CODES '02, pp.156-162, 2002.
DOI : 10.1145/774789.774820

B. Francisco and L. Rudy, Reconfigurable instruction set processors : A survey, RSP '00 : Proceedings of the 11th IEEE International Workshop on Rapid System Prototyping, p.168, 2000.

B. Francisco, L. Rudy, and D. Geert, Reconfigurable instruction set processors from a hardware/software perspective

B. Christophe, Building up a course in reconfigurable computing Microelectronics Systems Education, IEEE International Conference on/Multimedia Software Engineering, International Symposium on, pp.7-8, 2005.

. Bol07 and B. Ivo, Energy-efficient system design : Power optimization techniques in silicon and software have become mainstream considerations in fpga system design, 2007.

A. [. Chandrasekaran and . Amira, High speed / low power architectures for the finite radon transform, International Conference on Field Programmable Logic and Applications, 2005., pp.450-455, 2005.
DOI : 10.1109/FPL.2005.1515763

C. Katherine and H. Scott, Reconfigurable computing : a survey of systems and software, Cro99] D. CRONQUIST : Architecture design of reconfigurable pipelined datapaths, pp.171-210, 1999.

A. [. Chen and M. Srivastava, SARRAFZADEH : On gate level power optimization using dual supply voltages, 2001.

C. Derek, White paper : Virtex-5 fpgas ?power consumption in 65nm fpgas, 2007.

D. [. David, S. Chillet, O. Pillement, and . Sentieys, Dart : A dynamically reconfigurable architecture dealing with next generation telecommunications constraints, 2002.

. Andre-'dehon, Reconfigurable architectures for general-purpose computing, p.368, 1996.

L. [. Demingny, R. Kessal, N. Bourguiba, and . Boudouani, How to use high speed reconfigurable fpga for real time image processing ? IEEE Conf. on Computer Architecture for Machine Perception, IEEE Circuit and Systems, pp.240-246, 2000.

J. Noguera and R. Esser, Application-driven rsearch in partial reconfiguration, 2007.

L. Torres, P. Benoit, T. Gil, C. Diou, G. Cambon et al., SASSATELLI : Highly scalable dynamically reconfigurable systolic ring-architecture for dsp applications . Automation and Test in Europe Conference and Exhibition (DA- TE'02), p.553, 2002.

G. Seth-copen, S. Herman, M. Matthew, B. Mihai, C. Srihari et al., Piperench : A coprocessor for streaming multimedia acceleration, ISCA, pp.28-39, 1999.

H. Reiner, Why we need reconfigurable computing education, Opening session of the 1st International Workshop on Reconfigurable Computing Education, 2006.

M. Heubner, T. Becker, and J. Becker, Real-time lut-based network topologies for dynamic and partial fpga self-reconfiguration. Integrated Circuits and Systems Design, 17th Symposium on, pp.28-32, 2004.

H. Thomas and G. Manfred, Advanced hardware/software co-design on reconfigurable network-on-chip based hyper-platforms, 2007.

W. Reiner, . Hartenstein, and K. Rainer, A datapath synthesis system for the reconfigurable datapath architecture, ASP-DAC '95 : Proceedings of the 1995 conference on Asia Pacific design automation (CD-ROM), p.77, 1995.

I. Xilinx, Xilinx inc : Virtex-4 family overview. Rapport technique, Xilinx, 2004.

I. Xilinx, two flows for partial reconfiguration : mode based or difference based. Rapport technique, Xilinx inc, sep, 2004.

I. Altera, Stratix ii devic handbook,. Rapport technique, Altera, 2005.

I. Xilinx, Virtex-ii pro and virtex-ii pro platform fpgas : Complete datasheet . Rapport technique, In Xilinx, 2005.

I. Xilinx, using partial reconfiguration to time-share device resources in virtex-ii and virtex-ii pro(early-access partial reconfiguration documentation for virtex-ii and virtex-ii pro devices) Rapport technique, Xilinx, 2005.

I. Xilinx, Virtex-5 family overview. Rapport technique, Xilinx, 2006.

[. Delahaye, G. Gogniat, C. Roland, and P. Bomel, Software radio and dynamic reconfiguration on a dsp/fpga platform. Frequenze, Journal of Telecommunications, vol.58, pp.152-159, 2004.
URL : https://hal.archives-ouvertes.fr/hal-00089420

K. Noha, K. Bozman, J. E. Steven, and . Wilton, Architectures and algorithms for synthesizable embedded programmable logic cores, FPGA '03 : Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays, pp.3-11, 2003.

S. [. Flautner, T. Reinhardt, and . Mudge, Automatic performancesetting for dynamic voltage scaling, the 7th Conference on Mobile Computing and Networking MOBICOM'01, 2001.

K. Meenakshi, V. Ranga, G. Sriram, and O. Iyad, An automated temporal partitioning and loop fission approach for fpga based reconfigurable synthesis of dsp applications, pp.616-622, 1999.

L. Shang, A. S. Kaviani, and K. Bathalab, Dynamic power consumption in virtex-ii fpga familly, International Symposium on Field Programmable Gate Arrays, pp.157-164, 2002.

J. Seung, L. Sang-choon, K. , S. Won, and S. , A design of the security evaluation system for decision support in the enterprise network security management, Information Security and Cryptology, pp.246-260, 2000.

L. Philip and L. Wayne, Dynamic Voltage Scaling for Commercial FPGAs, International Conference on Field Programmable Technology (FPT), pp.215-222, 2005.

L. Sze-wei and L. Soon-chieh, VLSI Design of a Wavelet Processing Core, IEEE Transactions on Circuits and Systems for Video Technology, vol.16, issue.11
DOI : 10.1109/TCSVT.2006.883507

F. Li, Y. Lin, and L. He, CONG : Low-power fpga using pre-defined dualvdd/dual-vt fabrics, 2004.

L. Andrew, L. Jian, T. Russell, and B. Wayne, Adaptive system on a chip (asoc) : A backbone for power-aware signal processing cores

L. Sebastian and M. Martin, On the design of two-level reconfigurable architectures. reconfig, 2005.

B. [. Wirthlin and . Hutchings, Improving functional density using run-time circuit reconfiguration [FPGAs], IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.6, issue.2, pp.247-256, 1998.
DOI : 10.1109/92.678880

. Fipre, An implementation model to enable self-reconfigurable applications, pp.1042-1046, 2004.

M. Malcolm, L. Ann, and W. Ian, Towards a design methodology for adaptive applications. Mobile computing and networking, pp.133-144, 1998.

M. Antonini, M. Barlaud, P. Mathieu, and I. Daubechies, Image coding using wavelet transform, IEEE Transactions on Image Processing, vol.1, issue.2, pp.205-220, 1992.
DOI : 10.1109/83.136597

URL : https://hal.archives-ouvertes.fr/hal-01322224

]. J. Mnc-+-03, V. Mignolet, P. Nollet, D. Coene, V. Verkest et al., Infrastructure for design and management of relocatable tasks in a heterogeneous reconfigurable system, 2003.

M. Bingfeng, V. Serge, V. Diederik, H. De, M. Rudy et al., ADRES : An architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix Hw/sw co-design techniques for dynamically reconfigurable architecture, IEEE Trans VLSI System, vol.2778, pp.61-70, 2002.

J. Nmb-+-03-]-nollet, T. Mignolet, D. Bartic, S. Verkest, R. Vernalde et al., Hierarchical run-time reconfiguration managed by a operating system for reconfigurable systems, 2003.

T. [. Pering, R. Burd, and . Brodersen, Dynamic voltage scaling and the design of a low-power microprocessor system, 1998.

P. Katarina, H. Michael, and B. Jürgen, Dynamic power optimization by exploiting self-reconfiguration in xilinx spartan 3-based systems, Microprocess. Microsyst, vol.33, issue.1, pp.46-52, 2009.

P. Katarina, H. Michael, B. Salih, and B. Jürgen, Exploitation of run-time partial reconfiguration for dynamic power managment in xilinx spartan iii-based systems, ReCoSoC'7 : Reconfigurable Communication-centric SoCs, 3rd International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2007.

P. Ilias, T. Michail, D. Tasos, and K. Stavros, Power efficient video multipath transmission over wireless multimedia sensor networks, Mob. Netw. Appl, vol.13, pp.3-4274, 2008.

Q. Yang, S. Juha-pekka, and N. Jari, Static scheduling techniques for dependent tasks on dynamically reconfigurable devices, J. Syst

]. J. [-rab97 and . Rabaey, Reconfigurable processing : The solution to low-power programmable dsp, ICASSP '97 : Proceedings of the 1997 IEEE International Conference on Acoustics, Speech, and Signal Processing, p.275, 1997.

I. [. Calderbank, W. Daubechies, B. L. Sweldens, and . Yeo, Wavelet Transforms That Map Integers to Integers, Applied and Computational Harmonic Analysis, vol.5, issue.3, pp.332-369, 1998.
DOI : 10.1006/acha.1997.0238

L. [. Khasgiwale, A. Krnan, R. Perinkulam, and . Tessier, Reconfigurable data acquisition system for weather radar applications, 48th Midwest Symposium on Circuits and Systems, 2005., 2005.
DOI : 10.1109/MWSCAS.2005.1594227

R. Javier, M. Daniel, V. Diederik, and C. Francky, A reconfiguration manager for dynamically reconfigurable hardware, IEEE Design and Test of Computers, vol.22, issue.5, pp.452-460, 2005.

S. Love and B. Elaheh, Physically-aware exploitation of component reuse in a partially reconfigurable architecture, IPDPS. IEEE, 2006.

D. [. Guccione and . Levi, The advantage of run-time reconfiguration

E. [. Banerjee, J. Bozorgzadeh, N. Noguera, and . Dutt, Minimizing peak power for applicaiton chains on architectures wih partial dynamic reconfiguration, IEEE International Conference on Field Programmable Technology, pp.273-276, 2006.

. [. Mohanty, Energy-efficient datapath scheduling using multiple voltages and dynamic clocking, ACM Transactions on Design Automation of Electronic Systems, vol.10, issue.2, pp.330-353, 2005.
DOI : 10.1145/1059876.1059883

T. [. Pering, R. Burd, and . Broderesen, Dynamic voltage scaling and the design of a low-power microprocessor system. Power-Driven Microarchitecture Workshop in conjunction with Intl, Symposium on Computer Architecture, 1998.

T. Camel, B. Yves, W. Serge, and P. Brunet, A partitioning methodology that optimises the area on reconfigurable realtime embedded systems, EURASIP J. Appl. Signal Process, pp.494-501, 2003.

G. [. Nikolic and . Djordevic, STOJCEV : Micro-power simple porcessing element, VIII National conference ETAI, 2007.

P. [. Acharya and . Tsai, Jpeg2000 standard for image compression concepts, algorithms and vlsi architecture, 2004.

T. Jesús, S. Julio, M. Hortensia, and M. Daniel, Task placement heuristic based on 3d-adjacency and look-ahead in reconfigurable systems, pp.396-401, 2006.

T. [. Pering, R. Burd, and U. Michael, Voltage scheduling in the lparm microprocessor systemL : On-demand fpga run-time system for dynamical reconfiguration with adaptive priorities. Field Programmable Logic and Application, pp.96-101454, 2000.

U. Kimiyoshi and H. Mark, Clustered voltage scaling technique for low-power design, ISLPED '95 : Proceedings of the 1995 international symposium on Low power design, pp.3-8, 1995.

U. Michael, H. Michael, G. Grimm, and B. Jurgen, An fpga run-time system for dynamical on-demand reconfiguration. ipdps, p.4135, 2004.

W. Wayne, Computers as Components : Principles of Embedded Computer Systems Design, 2000.

Z. Ning and R. W. Brodersen, Architectural evaluation of flexible digital signal processing forwireless receivers. Signals, Systems and Computers, pp.78-83, 2000.

Z. Xun, R. Hassan, and W. Serge, Auto-adaptive reconfigurable architecture for scalable multimedia applications. Adaptive Hardware and Systems, NASA/ESA Conference on, pp.139-145, 2007.

Z. Xun, R. Hassan, and W. Serge, Dynamic slowdown and partial reconfiguration to optimize energy in fpga based auto-adaptive sopc, Fourth IEEE International Symposium on Electronic Design, Test and Applications, 2008.

Z. Hui, W. Marlene, G. Varghese, and R. Jan, Interconnect architecture exploration for low-energy reconfigurable single-chip dsps, WVLSI '99 : Proceedings of the IEEE Computer Society Workshop on VLSI'99, 1999.