F. Lustenberger, « On the Design of Analog VLSI Iterative Decoders », Dissertation ETH No. 13879, Serie in Signal and Information Processing, 2000.

E. Normand, Single event upset at ground level, IEEE Transactions on Nuclear Science, vol.43, issue.6, pp.2742-2750, 1996.
DOI : 10.1109/23.556861

S. Philip, Etude et développement d'un nouvelle architecture de processeur DSP dédiée aux applications modem en télécommunication sur câble T, Déc, 1999.

C. R. Baumann, Radiation-induced soft errors in advanced semiconductor technologies, IEEE Transactions on Device and Materials Reliability, vol.5, issue.3, pp.305-316, 2005.
DOI : 10.1109/TDMR.2005.853449

S. Lin, D. J. Costello, and J. , Error Control Coding : Fundamentals and Applications, N.J, 1983.

J. Oswald, Théorie de l'Information ou Analyse Diacritique des systèmes, 1986.

A. Poli and . Li, Huguet, Codes Correcteurs, Théorie et Applications, 1989.

J. L. Massey, Step-by-step decoding of the decoding BCH codes, IEEE Trans. Inform Theory, pp.580-585, 1965.

E. R. Berlekamp, Algebraic Coding Theorie, 1968.

A. J. Viterbi, Error bounds for convolutionnal codes and an asymptotically optimum decoding algorithm

D. Chase, Class of algorithms for decoding block codes with channel measurement information, IEEE Transactions on Information Theory, vol.18, issue.1, pp.170-182, 1972.
DOI : 10.1109/TIT.1972.1054746

G. D. Forney, Concatenated codes, Scholarpedia, vol.4, issue.2, 1966.
DOI : 10.4249/scholarpedia.8374

E. Piriou and «. , Apport de la modélisation et de la synthèse haut niveau dans la conception d'architecture flexible dédiée aux turbocodes en blocs, Thèse de doctorat : Sciences pour l'ingénieur : Institut TELECOM/ TELECOM Bretagne, 2007.

L. Yin, J. Lu, L. , K. B. , and Y. Wu, Burst-error-correcting algorithm for Reed-Solomon codes and its performance over a bursty channel, Proc. IEEE Int. C. on Circuits and Systems and West Sino Expositions'02, pp.77-81, 2002.

Y. F. Guo, Z. C. Li, and Q. Wang, An Area-Efficient Reed-Solomon Decoder for HDTV Channel Demodulation, 2006 2nd IEEE/ASME International Conference on Mechatronics and Embedded Systems and Applications, pp.1-5, 2006.
DOI : 10.1109/MESA.2006.297007

S. S. Alekseev and A. V. Krivosheikin, Digital implementation of DVB-C reverse channel receiver, 2006 IEEE International Symposium on Consumer Electronics, pp.1-3, 2006.
DOI : 10.1109/ISCE.2006.1689540

C. Poulliat, D. Declercq, C. Lamybergot, and I. Fijalkow, Analysis and optimization of irregular LSPC codes for joint source-channel decoding, IEEE Communications Letters, vol.9, issue.12, pp.1064-1066, 2005.
DOI : 10.1109/LCOMM.2005.1576589

T. Tian, C. R. Jones, J. D. Villasenor, and R. D. , Selective Avoidance of Cycles in Irregular LDPC Code Construction, IEEE Transactions on Communications, vol.52, issue.8, pp.1242-1247, 2004.
DOI : 10.1109/TCOMM.2004.833048

F. J. Macwilliams and N. J. Sloane, « The Theory of error correcting codes, 1978.

M. C. Valenti, Iterative detection and decoding for wireless communication, 1999.

R. C. Bose and D. K. Ray-chaudhuri, On a class of error correcting binary group codes, Information and Control, vol.3, issue.1, pp.68-79, 1960.
DOI : 10.1016/S0019-9958(60)90287-4

A. Hocquengehm, « Codes correcteurs d'erreurs, pp.147-156, 1959.

G. Clark and J. Cain, Error-Correction Coding for Digital Communications, 1981.
DOI : 10.1007/978-1-4899-2174-1

W. W. Peterson, Encoding and error correcting procedures for the Bose-Chaudhuri codes, IRE Trans. Theory, issue.6, pp.459-470, 1960.

D. Gorenstein and N. Zierler, A Class of Error-Correcting Codes in $p^m $ Symbols, Journal of the Society for Industrial and Applied Mathematics, vol.9, issue.2, pp.207-214, 1961.
DOI : 10.1137/0109020

S. M. Reddy and J. P. Robinson, Random error and burst correction by iterated codes, IEEE Transactions on Information Theory, vol.18, issue.1, pp.182-185, 1972.
DOI : 10.1109/TIT.1972.1054747

V. Cappellini, Data Compression and Error Control Techniques with Applications, 1985.

A. J. Viterbi, « Error bounds for convolutional codes and an asymptotically optimum decoding algorithm, IEEE Trans. on Inf. Theory, issue.13, pp.260-269, 1967.

J. Jin and C. Tsui, « A low power viterbi decoder implementation using scarce state transition and path runing scheme for high throughput wireless applications, Proc. IEEE ISLPED'06, pp.406-411, 2006.

S. Manhung, A. Chan, and «. A. , Robust viterbi algorithm against impulsive noise with application to speech recognition, IEEE Trans. on ASLP, vol.6, pp.2122-2133, 2006.

L. Chen, Design of a DVB-T/H COFDM Receiver for Portable Video Applications [Topics in Circuits for Communications], IEEE Communications Magazine, vol.45, issue.8, pp.112-120, 2007.
DOI : 10.1109/MCOM.2007.4290323

A. Cardenal-lópez, C. García-mateoa, and L. , Weighted Viterbi decoding strategies for distributed speech recognition over IP networks, Speech Communication, vol.48, issue.11, pp.1422-1434, 2006.
DOI : 10.1016/j.specom.2006.01.006

A. D. Liveris, X. Zixiang, and C. N. Georghiades, Distributed compression of binary sources using conventional parallel and serial concatenated convolutional codes, Data Compression Conference, 2003. Proceedings. DCC 2003, pp.193-202, 2003.
DOI : 10.1109/DCC.2003.1194010

M. Eroz, F. Sun, L. Lee, and «. Dvb, DVB-S2 low density parity check codes with near Shannon limit performance, International Journal of Satellite Communications and Networking, vol.22, issue.3, pp.269-279, 2004.
DOI : 10.1002/sat.787

U. H. Reimers, DVB-The Family of International Standards for Digital Video Broadcasting, Proc. of the IEEE, pp.173-182, 2006.
DOI : 10.1109/JPROC.2005.861004

P. Elias, Error-free Coding, Error Free Coding, pp.29-37, 1954.
DOI : 10.1109/TIT.1954.1057464

C. Berrou and A. Glavieux, Near optimum error correcting coding and decoding: turbo-codes, IEEE Transactions on Communications, vol.44, issue.10, pp.1261-1271, 1996.
DOI : 10.1109/26.539767

C. E. Shannon, A Mathematical Theory of Communication, Bell System Technical Journal, vol.27, issue.4, pp.623-656, 1948.
DOI : 10.1002/j.1538-7305.1948.tb00917.x

T. Richardson and R. Urbanke, The capacity of low-density parity-check codes under message-passing decoding, IEEE Transactions on Information Theory, vol.47, issue.2, pp.599-618, 2001.
DOI : 10.1109/18.910577

J. P. Woodard and L. Hanzo, Comparative study of turbo decoding techniques: an overview, IEEE Transactions on Vehicular Technology, vol.49, issue.6, pp.2208-2233, 2000.
DOI : 10.1109/25.901892

A. M. 'sir, F. Monteiro, A. Dandache, and B. Lepley, « Designing a high speed decoder for cyclic codes », proc, IEEE IOLTS'05, pp.129-134, 2004.

F. Monteiro, A. Dandache, A. M-'sir, and B. Lepley, A fast CRC implementation on FPGA using a pipelined architecture for the polynomial division, ICECS 2001. 8th IEEE International Conference on Electronics, Circuits and Systems (Cat. No.01EX483), pp.1231-1234, 2001.
DOI : 10.1109/ICECS.2001.957437

T. Vallino, S. J. Piestrak, A. Dandache, F. Monteiro, and B. Lepley, « Study of a new parallel architecture dedicated to the family of the difference set cyclic codes, Proc. 5th IEEE Int. On-Line Testing Workshop, pp.237-239, 1999.

R. J. Glaise, A two-step computation of cyclic redundancy code CRC-32 for ATM networks, IBM Journal of Research and Development, vol.41, issue.6, pp.705-709, 1997.
DOI : 10.1147/rd.416.0705

R. F. Hobson and K. Cheung, A high-performance CMOS 32-bit parallel CRC engine, IEEE Journal of Solid-State Circuits, vol.34, issue.2, pp.233-235, 1999.
DOI : 10.1109/4.743785

F. Monteiro, A. Dandache, and B. Lepley, Fast configurable polynomial division for error control coding applications, Proceedings Seventh International On-Line Testing Workshop, pp.158-161, 2001.
DOI : 10.1109/OLT.2001.937836

J. Zhang, Z. Wang, and Q. Hu, Jie Xiao, « Optimized design for high-speed parallel BCH encoder, IEEE Transactions on Circuits and Systems, pp.427-431, 2005.

C. Junho and S. Wonyong, Strength-Reduced Parallel Chien Search Architecture for Strong BCH Codes, Proc. IEEE VLSI Design and Video Technology, pp.97-100, 2008.
DOI : 10.1109/TCSII.2007.914898

H. Lee and I. «. Member, A high-speed low-complexity Reed-Solomon decoder for optical communications, 10th IOLTS, pp.129-134, 2004.

T. K. Matsushima, T. Matsushima, and S. Hirasawa, « Parallel encoder and decoder architectures for cyclic codes, IEICE Trans. A, vol.79, pp.1313-1323, 1996.

K. Kobayashi, K. Yamano, H. Kokubun, and K. Kobayashi, A 50 MHz CMOS pipelined majority logic decoder for (1 057 813) difference-set cyclic code, IEICE Trans. A, pp.79-1060, 1996.

A. M. 'sir, F. Monteiro, A. Dandache, and B. , Lepley, « Design of a high speed parallel encoder for convolutional codes, Microelectronics Journal, vol.35, issue.2, pp.151-166, 2004.

Y. Robert and M. Tchuente, An efficient systolic array for The 1?D convolution problem, J. VLSI Comput. Syst, pp.398-407, 1986.
URL : https://hal.archives-ouvertes.fr/hal-00857128

H. T. Kung, Why systolic architectures?, Computer, vol.15, issue.1, pp.37-46, 1982.
DOI : 10.1109/MC.1982.1653825

H. Jaber, F. Monteiro, and A. Dandache, « Low-Complexity Parallel-Pipeline Architecture for MTO- Convolutional Encoders, IEEE NEWCAS'09

H. Jaber, F. Monteiro, and A. , Dandache, « An Effective Fast and Small-Area Parallel-Pipeline Architecture for OTM-Convolutional Encoders, IEEE IOLTS'09

H. Jaber, F. Monteiro, and A. , Dandache, « A New Effective Parallel-Pipelined Architectural Scheme for High- Speed Small-Area IIR Digital Filters, 1920.

F. Monteiro, S. J. Piestrak, H. Jaber, and A. , Dandache, « Fault-secure interface between fault-tolerant RAM and transmission channel using systematic cyclic codes, Proc. 13th IEEE IOLTS'07, pp.199-200, 2007.

H. Jaber, Design of parallel fault-secure encoders for systematic cyclic block transmission codes, Microelectronics Journal, vol.40, issue.12, pp.1686-1697, 2009.
DOI : 10.1016/j.mejo.2009.08.007

H. Jaber, F. Monteiro, and A. Dandache, Improving the design of parallel-pipeline cyclic decoders towards fault-secure versions, APCCAS 2008, 2008 IEEE Asia Pacific Conference on Circuits and Systems, pp.324-327, 2008.
DOI : 10.1109/APCCAS.2008.4746025

J. C. Laprie, S??ret?? de fonctionnement des syst??mes : concepts de base et terminologie, Revue de l'Electricit?? et de l'Electronique, vol.-, issue.10, 2004.
DOI : 10.3845/ree.2004.109

R. Schoenig, Définitions d'une méthodologie de conceptions de systèmes mécatroniques sûrs de fonctionnement, Thèse de Doctorat, 2004.

J. Arlat, Informatique sûre de fonctionnement : défis et solutions. Sûreté des procédés industriels : journées CNRS, 1995.

O. Lichtenstein and A. Pnueli, Checking that finite state concurrent programs satisfy their linear specification, Proceedings of the 12th ACM SIGACT-SIGPLAN symposium on Principles of programming languages , POPL '85, pp.97-107, 1985.
DOI : 10.1145/318593.318622

URL : http://lsi.ugr.es/~mcapel/docencia/doctorado/seguro/TL_artl/lichtensteinPnueli95.pdf

E. M. Clarke, E. A. Emerson, and A. P. Sistla, Automatic verification of finite-state concurrent systems using temporal logic specifications, ACM Transactions on Programming Languages and Systems, vol.8, issue.2, pp.244-263, 1986.
DOI : 10.1145/5397.5399

M. Vardi and P. Wolper, An automata-theoretic approach to automatic program verification, Proceedings of the 1st IEEE Symp. Logic in Computer Science (LICS'86), pp.332-344, 1986.

A. Avi?ienis, J. Laprie, B. Randell, and C. Landwehr, Basic concepts and taxonomy of dependable and secure computing, IEEE Transactions on Dependable and Secure Computing, vol.1, issue.1, pp.11-33, 2004.
DOI : 10.1109/TDSC.2004.2

J. Aubry, Conception des systèmes de commande numériques des convertisseurs électromécaniques : vers une méthodologie intégrant la sûreté de fonctionnement

J. Arlat, M. Aguera, L. Amat, Y. Crouzet, J. C. Fabre et al., Fault injection for dependability validation: a methodology and some applications, IEEE Transactions on Software Engineering, vol.16, issue.2, pp.166-182, 1990.
DOI : 10.1109/32.44380

H. Madeira, M. Rela, F. Moreira, J. G. Silva, and «. Rifle, RIFLE: A general purpose pin-level fault injector, Proc. First European Dependable Computing Conference, pp.199-216, 1994.
DOI : 10.1007/3-540-58426-9_132

R. J. Martinez, P. J. Gil, G. Martin, C. Pérez, and J. J. Serrano, Experimental validation of high-speed fault-tolerant systems using physical fault injection, Dependable Computing for Critical Applications 7, p.249, 1999.
DOI : 10.1109/DCFTS.1999.814299

T. Michel, R. Leveugle, G. Saucier, R. Doucet, and P. Chapier, Taking advantage of ASICs to improve dependability with very low overheads [PLC], Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC, pp.14-18, 1994.
DOI : 10.1109/EDTC.1994.326905

URL : https://hal.archives-ouvertes.fr/hal-00015209

U. Gunneflo, J. Karlsson, and J. Torin, « Evaluation of error detection schemes using fault ijection by heavyion radiation, Proc. 19th Symp. Fault-Tolerant Computing (FTC-19), pp.340-347, 1989.

J. Karlsson, U. Gunneflo, P. Lidén, and J. Torin, TWO FAULT INJECTION TECHNIQUES FOR TEST OF FAULT HANDLING MECHANISMS, 1991, Proceedings. International Test Conference, pp.140-149, 1991.
DOI : 10.1109/TEST.1991.519504

J. Karlsson, P. Folkesson, J. Arlat, Y. Crouzet, and G. Leber, Comparison and integration of three diverse physical fault injection techniques, Predictably Dependable Computing Systems, pp.309-327, 1995.

J. R. Sampson, W. Moreno, and F. Falquez, « Validating fault tolerant designs using laser fault injection (LFI), Proc. Symp. on Defect and Fault Tolerance in VLSI Systems (DFT'97), pp.175-183, 1997.

G. A. Kanawati, N. A. Kanawati, and J. A. Agraham, FERRARI: a tool for the validation of system dependability properties, [1992] Digest of Papers. FTCS-22: The Twenty-Second International Symposium on Fault-Tolerant Computing, pp.336-344, 1992.
DOI : 10.1109/FTCS.1992.243567

J. Carreira, H. Madeira, J. G. Silva, and . Xception, Xception: a technique for the experimental evaluation of dependability in modern computers, IEEE Transactions on Software Engineering, vol.24, issue.2, pp.125-136, 1998.
DOI : 10.1109/32.666826

A. Benso, P. Prinetto, M. Rebaudengo, M. Sonza-reorda, and «. Exfi, EXFI: a low-cost fault injection system for embedded microprocessor-based boards, ACM Transactions on Design Automation of Electronic Systems, vol.3, issue.4, pp.626-634, 1998.
DOI : 10.1145/296333.296351

J. P. Calvez, « Spécification et conception des systèmes ? une méthodologie, 1992.

L. Anghel, R. Leveugle, and P. Vanhauwaert, Evaluation of SET and SEU effects at multiple abstraction levels, 11th IEEE International On-Line Testing Symposium, pp.309-312, 2005.
DOI : 10.1109/IOLTS.2005.28

URL : https://hal.archives-ouvertes.fr/hal-00015000

P. Vanhauwaert, « Fault-injection based dependability analysis in a FPGA-based environment, Thèse de doctorat, 2008.

Y. Monnet, Etude et modélisation de circuits résistants aux attaques non intrusives par injection de fautes, Thèse de doctorat, 2007.

K. S. Papadomanolakis, A. P. Kakarountas, V. Kokkinos, N. Sklavos, and C. E. Goutis, « A comparative study on fault secure signed multiplication designs, Proc. 11th International Conference on VLSI, The Global System On Chip Design & CAD Conference (IFIP VLSI SOC '01), pp.183-188, 2001.

L. Anghel and M. , Nicolaidis, « Cost reduction and evaluation of a temporary faults detecting technique, Europe Conference (DATE) Conference IEEE CS, pp.591-597, 2000.

F. Vargas and A. Amory, Recent improvements on the specification of transient-fault tolerant VHDL descriptions: a case-study for area overhead analysis, Proceedings 13th Symposium on Integrated Circuits and Systems Design (Cat. No.PR00843), pp.249-254, 2000.
DOI : 10.1109/SBCCI.2000.876038

M. Pflanz, K. Walther, C. Galke, and H. T. , Vierhaus, « On-Line Detection and Correction in Storage Elements with Cross-Parity Check, Proc. 8 th IEEE Int. On-Line Testing Workshop (IOLTW'02, pp.69-73, 2002.

R. Leveugle, Automatic modifications of high level VHDL descriptions for fault detection or tolerance, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition, pp.837-841, 2002.
DOI : 10.1109/DATE.2002.998396

URL : https://hal.archives-ouvertes.fr/hal-00015045

C. Galke, M. Pflanz, and H. T. Vierhaus, On-line detection and compensation of transient errors in processor pipeline-structures, Proceedings of the Eighth IEEE International On-Line Testing Workshop (IOLTW 2002), 2002.
DOI : 10.1109/OLT.2002.1030204

E. Fujiwara and K. Matsuoka, A Self-Checking Generalized Prediction Checker and Its Use for Built-In Testing, IEEE Transactions on Computers, vol.36, issue.1, pp.86-93, 1987.
DOI : 10.1109/TC.1987.5009451

J. Khakbaz and E. J. Mccluskey, Self-Testing Embedded Parity Checkers, IEEE Transactions on Computers, vol.33, issue.8, pp.753-756, 1984.
DOI : 10.1109/TC.1984.5009365

M. Tremblay and Y. , Tamir, « Fault-tolerance for high-performance multi-module VLSI systems using micro rollback, pp.297-316, 1989.

F. Lima, E. Costa, L. Carro, M. Lubaszewski, R. Reis et al., Designing and testing a radiation hardened 8051-like micro-controller, Proc. 3rd Military and Aerospace Applications of Programmable Devices and Technologies International Conference, 2000.
URL : https://hal.archives-ouvertes.fr/hal-01391528

D. Anderson, Design of self-checking digital networks using coding techniques ». Coordinated Sciences Laboratory, 1971.

D. Anderson and G. Metz, « Design of totally self-checking check circuits for m-out-of-n codes, IEEE Trans. on Comput, pp.263-269, 1973.

M. J. Ashjaee and S. M. Reddy, On Totally Self-Checking Checkers for Separable Codes, IEEE Transactions on Computers, vol.26, issue.8, pp.737-744, 1977.
DOI : 10.1109/TC.1977.1674911

J. E. Smith and . Metz, « The design of totally self-checking combinatorials circuits, Proc. 7th Fault Tolerant Computing Symposium, 1997.

J. Smith and . Metz, Strongly Fault Secure Logic Networks, IEEE Transactions on Computers, vol.27, issue.6, p.27, 1978.
DOI : 10.1109/TC.1978.1675139

URL : https://minds.wisconsin.edu/bitstream/handle/1793/9598/file_1.pdf?sequence=1

N. K. Jha and S. Wang, Design and synthesis of self-checking VLSI circuits, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.12, issue.6, pp.878-887, 1993.
DOI : 10.1109/43.229762

A. Avizienis, Arithmetic Algorithms for Error-Coded Operands, IEEE Transactions on Computers, vol.22, issue.6, pp.567-572, 1973.
DOI : 10.1109/TC.1973.5009108

I. Alzaher and M. , Nicolaidis, « A Tool for automatic generation of self-checking multipliers based on residu arithmetic codes, Proc. Design, Automation and Test in Europe Conference, 1999.

W. C. Carter and P. R. Schneider, Design of dynamically checked computers, Proc. 4th Congress IFIP, pp.878-883, 1968.

E. J. Mccluskey and F. W. Clegg, Fault Equivalence in Combinational Logic Networks, IEEE Transactions on Computers, vol.20, issue.11, pp.1286-1293, 1971.
DOI : 10.1109/T-C.1971.223129

M. Nicolaidis, Shorts in self-checking circuits, Journal of Electronic Testing, vol.20, issue.4, pp.257-273, 1987.
DOI : 10.1007/978-3-642-95424-5

URL : https://hal.archives-ouvertes.fr/hal-00014077

J. A. Clark and D. K. Pradhan, Fault injection: a method for validating computer-system dependability, Computer, vol.28, issue.6, pp.47-56, 1995.
DOI : 10.1109/2.386985

D. P. Siewiorek and R. S. Swarz, Reliable computer systems, design and evaluation, 1992.

J. Standard, « Measurement and reporting of alpha particles and terrestrial cosmic ray-induced soft errors in semiconductor devices, p.89, 2001.

M. Baze and S. Buchner, Attenuation of single event induced pulses in CMOS combinational logic, IEEE Transactions on Nuclear Science, vol.44, issue.6, pp.2217-2223, 1997.
DOI : 10.1109/23.659038

M. Nicolaidis, Time redundancy based soft-error tolerance to rescue nanometer technologies, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146), pp.86-94, 1999.
DOI : 10.1109/VTEST.1999.766651

URL : https://hal.archives-ouvertes.fr/hal-00013764

X. Bai and S. Dey, High-Level Crosstalk Defect Simulation Methodology for System-on-Chip Interconnects, Proc. 19th VLSI Test Symposium (VTS'01), 2001.
DOI : 10.1109/TCAD.2004.833612

J. R. Samson, « Validating fault tolerance designs using laser fault injection, Proc. IEEE Symposium on Defect and Fault Tolerance in VLSI Systems, pp.175-183, 1997.

E. Jenn, J. Arlat, M. Rimen, J. Ohlsson, and J. Karlsson, Fault Injection Into VHDL Models: A Fault Injection Tool And Some Preliminary Experimental Results, Third Int'l Workshop on Integrating Error Models with Fault Injection, pp.13-14, 1994.
DOI : 10.1109/WIEM.1994.654393

E. Jenn, J. Arlat, M. Rimen, J. Ohlsson, and J. Karlsson, « Fault injection into VHDL models : the MEFISTO tool, Proc. 24th FTC Symposium, pp.66-75, 1994.

T. A. Delong, B. W. Johnson, and J. A. , A fault injection technique for VHDL behavioral-level models, IEEE Design & Test of Computers, vol.13, issue.4, pp.24-33, 1996.
DOI : 10.1109/54.544533

J. S. Melinger, S. Buchner, D. Mcmorrow, W. J. Stapor, T. R. Weatherford et al., Critical evaluation of the pulsed laser method for single event effects testing and fundamental studies, IEEE Transactions on Nuclear Science, vol.41, issue.6, pp.2574-2584, 1994.
DOI : 10.1109/23.340618

F. Vargas, D. L. Cavalcante, E. Gatti, D. Prestes, and D. Lupi, On the proposition of an EMI-based fault injection approach, 11th IEEE International On-Line Testing Symposium, pp.207-208, 2005.
DOI : 10.1109/IOLTS.2005.47

S. Duzellier, D. Falguère, L. Guibert, V. Pouget, P. Fouillat et al., Application of laser testing in study of SEE mechanisms in 16-Mbit DRAMs, IEEE Transactions on Nuclear Science, vol.47, issue.6, pp.2392-2399, 2000.
DOI : 10.1109/23.903782

D. Lewis, V. Pouget, F. Beaudoin, G. Haller, P. Perdu et al., Implementing Laser-Based Failure Analysis Methodologies Using Test Vehicles, IEEE Transactions on Semiconductor Manufacturing, vol.18, issue.2, pp.279-288, 2005.
DOI : 10.1109/TSM.2005.845014

URL : https://hal.archives-ouvertes.fr/hal-00397918

R. Koga, Single-event effect ground test issues, IEEE Transactions on Nuclear Science, vol.43, issue.2, pp.661-670, 1996.
DOI : 10.1109/23.490909

«. Lin and . Fiat, Fault Injection Based Automated Test Environment, Proc. 22th FTC Symposium, pp.102-107, 1988.

R. Velazco, S. Rezgui, and R. Ecoffet, Predicting error rate for microprocessor-based digital architectures through C.E.U. (Code Emulating Upsets) injection, IEEE Transactions on Nuclear Science, vol.47, issue.6, pp.2405-2411, 2000.
DOI : 10.1109/23.903784

URL : https://hal.archives-ouvertes.fr/hal-00008219

M. Lajolo, M. Rebaudengo, M. Sonza-reorda, M. Violante, and L. Lavagno, « Evaluating system dependability in a co-design framework, Proc. of IEEE Design, Automation and Test in Europe (DATE'00), pp.586-590, 2000.

C. Bolchini, L. Pomante, F. Salice, and D. Sciuto, « Reliability properties assessment at system level : a codesign framework, Proc. 7th Int. On-Line Testing Workshop (IOLTW'01), pp.165-171, 2001.

K. Rothbart, U. Neffe, C. Steger, R. Weiss, and E. Rieger, « A Smart card test environment using multi-level fault injection in system C, Proc. 6th Latin-American Test Workshop (LATW'05), pp.103-108, 2005.

F. Corno, G. Cumani, M. Sonza-reorda, and G. Squillero, « RT-level fault simulation techniques based on simulation command scripts, Proc. XV Conf. on Design of Circuits and Integrated Systems (DCIS'00), pp.825-830, 2000.

J. Boué, P. Pétillon, Y. Crouzet, and «. Mefisto, MEFISTO-L: a VHDL-based fault injection tool for the experimental assessment of fault tolerance, Digest of Papers. Twenty-Eighth Annual International Symposium on Fault-Tolerant Computing (Cat. No.98CB36224), pp.168-173, 1998.
DOI : 10.1109/FTCS.1998.689467

J. Gracia, J. C. Baraza, D. Gil, and P. J. Gil, Comparison and application of different VHDL-based fault injection techniques, Proceedings 2001 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2001.
DOI : 10.1109/DFTVS.2001.966775

R. Leveugle and K. Hadjiat, « Multi-level fault injections in VHDL descriptions : alternative approaches and experiments, Journal of Electronic Testing : Theory and Applications (JETTA), pp.559-575, 2003.

H. Cha, E. M. Rudnick, J. H. Patel, R. K. Iyer, and G. S. Choi, « A gate-level simulation environment for alphaparticle-induced transient faults, IEEE Trans. on Computers, vol.45, issue.11, pp.1248-1256, 1996.

L. Alexandrescu and M. Anghel, Nicolaidis, « Simulating single event transients in VDSM ICs for ground level radiation, Journal of Electronic Testing : Theory and Applications (JETTA), pp.413-421, 2004.

R. Leveugle, « Towards modeling for dependability of complex integrated circuits, Proc. 5th IEEE Int. On-Line Testing Workshop (IOLTW'99), pp.194-198, 1999.

P. Civera, L. Macchiarulo, M. Rebaudengo, M. Sonza-reorda, and M. Violante, Exploiting FPGA for accelerating fault injection experiments, Proceedings Seventh International On-Line Testing Workshop, pp.9-13, 2001.
DOI : 10.1109/OLT.2001.937810

P. Civera, L. Macchiarulo, M. Rebaudengo, M. Sonza-reorda, and M. Violante, FPGA-based fault injection for microprocessor systems, Proceedings 10th Asian Test Symposium, p.304, 2001.
DOI : 10.1109/ATS.2001.990301

C. López-ongil, M. García-valderas, M. Portela-garcía, and L. , Entrena-Arrontes, « Autonomous transient fault emulation on FPGAs for accelerating fault grading, Proc. 11th IEEE Int. On-Line Testing Symposium (IOLTS'05), pp.43-45, 2005.

D. Divsalar and R. J. Mceliece, « On the design of concatenated coding systems with interleavers », TMO progress report, pp.42-134, 1998.

K. Kim, S. Jang, and J. S. Lee, Adaptive Distance Filter-based Traffic Reduction for Mobile Grid, 27th International Conference on Distributed Computing Systems Workshops (ICDCSW'07), pp.22-29, 2007.
DOI : 10.1109/ICDCSW.2007.18

K. K. Parhi, VLSI Digital Signal Processing Systems : Design and Implementation, 1999.

D. A. Parker and K. K. Parhi, « Low-area/power parallel FIR digital filter implementations, IEEE Trans. Circuits and Syst, vol.17, issue.1, pp.75-92, 1997.

M. U. Adanayake and L. Bruton, « A high performance distributed-parallel-processor architecture for 3D IIR digital filters, Proc. ISCAS'05, pp.1457-1460, 2005.

N. R. Shanbhag and I. Gi-hong, Pipelined adaptive IIR filter architectures using scattered and relaxed look-ahead transformations, IEEE Transactions on Signal Processing, vol.44, issue.7, pp.1841-1847, 1996.
DOI : 10.1109/78.510634

A. Golmohammadi, M. T. Manzuri, and S. Ayat, A new pipeline implementation of an adaptive IIR filter for noise reduction application, IEEE International Symposium on Communications and Information Technology, 2004. ISCIT 2004., pp.577-58, 2004.
DOI : 10.1109/ISCIT.2004.1412911

C. Cheng and K. K. Parhi, Low- Cost Parallel FIR Filter Structures With 2-Stage Parallelism, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.54, issue.2, pp.280-290, 2007.
DOI : 10.1109/TCSI.2006.885976

D. Gorinevsky and S. Boyd, Optimization-based design and implementation of multidimensional zero-phase IIR filters, IEEE Transactions on Circuits and Systems I: Regular Papers, vol.53, issue.2, pp.372-383, 2006.
DOI : 10.1109/TCSI.2005.856048

R. A. Hawley, B. C. Wong, L. Thu-ji, J. Laskowski, and H. Samueli, Design techniques for silicon compiler implementations of high-speed FIR digital filters, IEEE Journal of Solid-State Circuits, vol.31, issue.5, pp.656-667, 1996.
DOI : 10.1109/4.509848

Y. Chen, C. Chen, K. Jheng, and A. Wu, « A universal look-ahead algorithm for pipelining IIR filters, IEEE VLSI-DAT'08, pp.259-262, 2008.

A. V. Openheim, R. W. Schafer, and J. R. Buck, Discrete-Time Signal Processing, 1999.

P. Reutz, The architectures and design of a 20-MHz real-time DSP chip set, IEEE Journal of Solid-State Circuits, vol.24, issue.2, pp.338-348, 1989.
DOI : 10.1109/4.18594

D. Larner, « Sun flips bits in chips », Electronics Times, pp.72-96, 1997.

J. F. Ziegler, Terrestrial cosmic ray intensities, Terrestrial cosmic rays intensities, pp.117-139, 1998.
DOI : 10.1147/rd.421.0117

R. W. Hamming, Error Detecting and Error Correcting Codes, Error detecting and error correcting codes, pp.147-160, 1950.
DOI : 10.1002/j.1538-7305.1950.tb00463.x

URL : https://calhoun.nps.edu/bitstream/10945/46756/1/Hamming_1982.pdf

M. Y. Hsiao, A Class of Optimal Minimum Odd-weight-column SEC-DED Codes, IBM Journal of Research and Development, vol.14, issue.4, pp.395-401, 1970.
DOI : 10.1147/rd.144.0395

C. L. Chen and M. Y. Hsiao, Error-Correcting Codes for Semiconductor Memory Applications: A State-of-the-Art Review, IBM Journal of Research and Development, vol.28, issue.2, pp.124-134, 1984.
DOI : 10.1147/rd.282.0124

F. J. Aichelmann and J. , Fault-Tolerant Design Techniques for Semiconductor Memory Applications, IBM Journal of Research and Development, vol.28, issue.2, pp.177-183, 1984.
DOI : 10.1147/rd.282.0177

T. R. Rao and E. Fujiwara, Error-Control Coding for Computer Systems, N.J, 1989.

G. C. Cardarilli, Design of a fault tolerant solid state mass memory, IEEE Transactions on Reliability, vol.52, issue.4, pp.476-491, 2003.
DOI : 10.1109/TR.2003.821938

H. Kaneko, Error control coding for semiconductor memory systems in the space radiation environment, 20th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'05), pp.93-101, 2005.
DOI : 10.1109/DFTVS.2005.34

M. Y. Hsiao, A. M. Patel, and D. K. , Pradhan, « Store address generator with on-line fault-detection capability, IEEE Trans. Comput, pp.26-1144, 1977.

L. T. Wang, Autonomous linear feedback shift register with on-line fault-detection capability, Dig. Pap. 12th Int. FTC Symp, pp.311-314, 1982.

P. Koopman, 32-bit cyclic redundancy codes for Internet applications, Proceedings International Conference on Dependable Systems and Networks, pp.23-26, 2002.
DOI : 10.1109/DSN.2002.1028931

P. Koopman and T. Chakravarty, Cyclic redundancy code (CRC) polynomial selection for embedded networks, International Conference on Dependable Systems and Networks, 2004, pp.145-154, 2004.
DOI : 10.1109/DSN.2004.1311885

S. J. Piestrak, A. Dandache, and F. Monteiro, Designing fault-secure parallel encoders for systematic linear error correcting codes, IEEE Transactions on Reliability, vol.52, issue.4, pp.492-500, 2003.
DOI : 10.1109/TR.2003.821940

G. R. Redinbo, Fault-Tolerant Decoders for Cyclic Error-Correcting Codes, IEEE Transactions on Computers, vol.36, issue.1, pp.47-63, 1987.
DOI : 10.1109/TC.1987.5009448

G. R. Redinbo, L. M. Napolitano, J. , and D. D. Andaleon, Multibit correcting data interface for fault-tolerant systems, Multibit correcting data interface for faulttolerant systems, pp.433-446, 1993.
DOI : 10.1109/12.214690

I. M. Boyarinov, Self-checking decoding algorithm for Reed-Solomon codes, Lecture Notes in Computer Science, vol.829, pp.63-68, 1994.
DOI : 10.1007/3-540-58265-7_8

G. C. Cardarilli, S. Pontarelli, M. Re, and A. Salsano, Concurrent Error Detection in Reed???Solomon Encoders and Decoders, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.15, issue.7, pp.842-846, 2007.
DOI : 10.1109/TVLSI.2007.899241

H. Naeimi and A. Dehon, Fault Secure Encoder and Decoder for Memory Applications, 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007), pp.26-28, 2007.
DOI : 10.1109/DFT.2007.54

S. Mitra, N. R. Saxena, and E. J. Mccluskey, A design diversity metric and analysis of redundant systems, IEEE Transactions on Computers, vol.51, issue.5, pp.498-510, 2002.
DOI : 10.1109/TC.2002.1004589

C. Stroud, A parameterized VHDL library for on-line testing, Proceedings International Test Conference 1997, pp.1-6, 1997.
DOI : 10.1109/TEST.1997.639654

K. Mohanram, C. V. Krishna, and N. A. Touba, A methodology for automated insertion of concurrent error detection hardware in synthesizable Verilog RTL, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353), pp.577-580, 2002.
DOI : 10.1109/ISCAS.2002.1009906

Y. Makris, I. Bayraktaroglu, and A. Orailoglu, Enhancing Reliability of RTL Controller-Datapath Circuits via Invariant-Based Concurrent Test, IEEE Transactions on Reliability, vol.53, issue.2, pp.269-278, 2004.
DOI : 10.1109/TR.2004.829175

P. Oikonomakos and M. Zwolinski, An Integrated High-Level On-Line Test Synthesis Tool, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.25, issue.11, pp.2479-2491, 2006.
DOI : 10.1109/TCAD.2006.882120

P. Oikonomakos and M. Zwolinski, On the Design of Self-Checking Controllers with Datapath Interactions, IEEE Transactions on Computers, vol.55, issue.11, pp.1423-1434, 2006.
DOI : 10.1109/TC.2006.185

M. C. Hsueh, T. K. Tsai, and R. K. Iyer, Fault injection techniques and tools, Fault injection techniques and tools, pp.75-82, 1997.
DOI : 10.1109/2.585157

L. Anghel, R. Leveugle, and P. Vanhauwaert, Evaluation of SET and SEU effects at multiple abstraction levels, 11th IEEE International On-Line Testing Symposium, pp.309-312, 2005.
DOI : 10.1109/IOLTS.2005.28

URL : https://hal.archives-ouvertes.fr/hal-00015000

S. Dietler, 05 : http ://www.sweegy.ch/fileadmin, Projet

.. Graphe-de-transition-du-canal-binaire-symétrique, 16 1.3 P E b en fonction du E b /B pour un code Golay (23,12) avec décision ferme pour une modulation de type, p.17

F. Schéma-d-'un-codeur, Fault-Secure) proposé, p.110